开发者

ARM Cortex simulator that allows single stepping etc

开发者 https://www.devze.com 2023-03-22 19:00 出处:网络
Does anyone know of an ARM simulator program that I can use to try some assembler programming, e.g. running opcodes, watching register values change etc.?开发者_运维技巧Can be either Windows or Linux

Does anyone know of an ARM simulator program that I can use to try some assembler programming, e.g. running opcodes, watching register values change etc.?开发者_运维技巧 Can be either Windows or Linux based.

Thank you, Fred


I have one for the thumb instruction set, search for thumbulator at github.com. Qemu has a number of arm variations supported. mame has some arm support. gdb and others have the armulator from arm. I wrote mine to get better visibility, visibility from the others perhaps with the exception of gdb and whatever it might show, is sparse. I dont remember what to search for but there is a verilog behavior model for the ARM on a university site that probably gives the best visibility of all. (use verilator or icarus verilog, create .vcd files and use gtkwave to view them).

0

精彩评论

暂无评论...
验证码 换一张
取 消

关注公众号